MIN-Fakultät
Fachbereich Informatik
TAMS

Unterlagen

Vorlesungsunterlagen, Datenblätter & Dokumentation und diverse Links - thematisch sortiert. Die Liste wird im Lauf der Veranstaltung aktualisiert...

Aktuell | VHDL | EDA-Programme


Aktuell

Allgemeines Material aus den Plenumsterminen: Foliensätze, Beispieldateien usw.

24.10.2018

17.10.2019


VHDL

Allgemeine Dokumentation zu VHDL und Beschreibung der Syntax.

Links


EDA-Programme

EDA für Electronic Design Automation - Hier sind die Anleitungen zur Benutzung der Programme sowie Links zu deren Herstellern.

Links

Setup

Simulation mit Open-Source Programmen

Für die Arbeit an nicht Uni-Rechnern/zu Hause, habe ich hier als Alternative beschrieben, wie entsprechende Open-Source Programme genutzt werden können.
ghdl
Ein compilierender VHDL Simulator, auf gcc basierend. Anders als bei den kommerziellen Produkten gibt es keine (kaum) interaktive Simulation und auch die Debug-Möglichkeiten sind stark eingeschränkt. Wenn man aber eine Batch-Simulation laufen lassen kannn kann / will, bietet ghdl einen (fast) kompletten, schnellen VHDL Simulator.

Während freie Software früher immmer nur mit einem Teil der VHDL-Syntax zurechtkam, kann ghdl inzwischen den gesamten Sprachumfang - an einer Stelle hatte ich in meinem Beispiel Probleme (&-Operator, 'workaround' möglich).

Einschränkungen: wie oben schon angedeutet, funktioniert nur eine Batch-Simulation. Daraus ergibt sich:
  • Alle Stimuli müssen in der Simulationsumgebung definiert sein, als durch entsprechende Prozesse getrieben werden
  • Die Simulation muss terminieren, sprich keine neuen Events mehr erzeugen. Dies geht am einfachsten, wenn die VHDL-Prozesse zur Stimuli-Generierung am Ende auf ein finales wait; laufen. Alternativ dazu muss man sonst die Simulation mit dem Parameter --stop-time=... starten.
  • Signale können in eine Datei geschrieben und mit gtkwave (s.u.) analysiert werden. Ein Zugriff auf interne Variablen oder auf den sequenziellen Ablauf in Prozessen ist nur (wenn überhaupt) über Debug-Werkzeuge möglich.
gtkwave
Die übliche Anzeige für Impulsdiagramme...

Simulation mit ModelSim

Da die Cadence Lizenzen zum 31.Dez. ausliefen und die neue Lizenzdatei noch nicht geliefert wurde, habe ich die bei Altera mitgelieferte (eingeschränkte) ModelSim Version freigeschaltet.

Hardware

Dokumentation zu den Hardwareeinheiten, der Prototypenplatine etc.

SRAM Speicher

Altera FPGA Prototypenplatine