MIN-Fakultät
Fachbereich Informatik
TAMS

Unterlagen

Vorlesungsunterlagen, Literaturverweise und diverse Links - thematisch sortiert.
Die Liste wird im Laufe der Veranstaltung aktualisiert...

Aufgabenblätter | VLSI | VHDL | EDA-Programme | Hardwarekomponenten


Aufgabenblätter


VLSI-Entwurf


VHDL

Links
Hamburg VHDL Archive
VHDL Online Syntax, Synthesis und Simulation
VHDL Online Help VHDL Language Reference Guide
VHDL-Online Manual und Referenz
Accellera Systems Initiative
IEEE Hosted EDA web

EDA-Programme

Hersteller und OpenSource
Intel FPGA (Altera)
Mentor Graphics: ModelSim
Cadence
Synopsys
GHDL und GTKWave
Setup
Um die Initialisierung der Werkzeuge zu vereinfachen, gibt es Shellscripte für bash/sh oder tcsh/csh, die die benötigten Suchpfade und Umgebungsvariablen setzen:
source $tamsSW/profile.d/edaSetup.sh [tool-list]
source $tamsSW/profile.d/edaSetup.csh [tool-list]
Eingaben für tool-list sind beispielsweise:
ams ldv  für die Simulation:  nclaunch
ams ldv syn  für die RT-Synthese + Simulation:  ams_synopsys
alt  für den FPGA Entwurf:  quartus
Tipp
Um alle temporären Dateien separat zu halten, empfiehlt es sich die VHDL Arbeitsbibliothek work auf ein entsprechendes Unterverzeichnis abzubilden. Dieses kann dann später komplett gelöscht werden. Dazu sind
  1. ein Unterverzeichnis work im aktuellen Verzeichnis anzulegen
  2. die Dateien cds.lib und hdl.var in das aktuelle Verzeichnis zu kopieren
ghdl und gtkwave
Zur Nutzung der OpenSource Werkzeuge ghdl und gtkwave folgen hier die Schritte, um beispielsweise die Ampelschaltung aus den Templates zu simulieren und sich die Ergebnisse anzusehen.
ghdl -a --workdir=work tlcWalk.vhd
ghdl -a --workdir=work tlcTest.vhd
ghdl -e --workdir=work tlcTest
./tlctest --vcd=tlctest.vcd
gtkwave tlctest.vcd
Auch hier wird davon ausgegangen, dass ein Unterverzeichnis work erstellt wurde (s.o.).

Hardwarekomponenten