FAQ comp.lang.vhdl (part 2): Books on VHDL

comp.lang.vhdl

Frequently Asked Questions And Answers (Part 2): Books on VHDL


Preliminary Remarks

This is a monthly posting to comp.lang.vhdl containing information on VHDL books. Please send additional information directly to the editor:

edwin@ds.e-technik.uni-dortmund.de (Edwin Naroska)

Corrections and suggestions are appreciated. Thanks for all corrections.

At the end of this listing, you'll also find reports on global topics concerning VHDL, which are available per ftp.

There are three other regular postings: part 1 lists general information on VHDL, part 3 lists products and services (PD+commercial), part 4 contains descriptions for a number of terms and phrases used to define VHDL.



Table of Contents


1 Documents of the IEEE

See also http://standards.ieee.org.
IEEE Standard VHDL Language Reference Manual
IEEE Std 1076-2002, IEEE Standards, 2002, IEEE Product No. SH94983-TBR, $126, IEEE Member Discount, Softcover, 306pp, ISBN 0-7381-3247-0
IEEE Standard VHDL Language Reference Manual
IEEE Std 1076-2000, IEEE Standards, 2000, IEEE Product No. SH94817-TBR, $126, IEEE Member Discount, Softcover, 270pp, ISBN 0-7381-1948-2
1076.1-1999 IEEE Standard VHDL Analog and Mixed-Signal Extensions 1999
IEEE Std 1076.1-1999, IEEE Standards, 1999, IEEE Product No. SH94731-TBR, $125, IEEE Member Discount, Softcover, 320pp, ISBN 0-7381-1640-8
1076.6-1999 IEEE Standard for VHDL Register Transfer Level (RTL) Synthesis 1999
IEEE Std 1076.6-199, IEEE Standards, 1999, IEEE Product No. SH94792-TBR, $84, IEEE Member Discount, Softcover, 80pp, ISBN 0-7381-1819-2
IEEE Standard VHDL Language Reference Manual
IEEE Std 1076-1993, IEEE Standards, Order Code SH 16840, $56, 30% IEEE Member Discount, bulk discounts, ISBN 1-55937-376-8, 1994
1076-1987 Standard VHDL Language Reference Manual
IEEE Standards, Not available from the IEEE anymore. Now available from Menchini & Associates (see FAQ part 3 products & services), for a cost of $75 US. Please contact mench@mench.com for further information.
IEEE Standards Interpretations: IEEE Standard VHDL Language Reference Manual
IEEE Std 1076/INT-1991, Order Code SH148941991
IEEE Standard Multivalue Logic System for VHDL Model Interoperability (std_logic_1164)
IEEE Std 1164-1993, ...1993

1.1 Where to Obtain?

U.S.A.:
IEEE Service Center
445 Hoes Lane
P.O. Box 1331
Piscataway, NJ 08855-1331 USA
Phone: 1-800-678-IEEE, FAX: 908-981-9667
Canada:
This office is no longer in operation.
Europe:
IEEE Computer Society, 13,
Avenue de l'Aquilon,
B-1200 Brussels BELGIUM
Telephone: 32.2.770.21.98
FAX: 32.2.770.85.05

2 Books on VHDL

Please send a note to the editor if any of this books are out of print or a good book is missing.
Using PSL/SUGAR with Verilog and VHDL Guide to Property Specification Language for Assertion-Based Verification
Ben Cohen
ISBN 0-9705394-4-4, Ben Cohen VhdlCohen Publishing
This book focuses on the practical use of assertions and use ABV with PSL in a simulation environment. "Any designer wanting to ramp up on ABV in general and PSL in particular should read this book" Rahul Razdan, Cadence Design Systems, Inc. "Book not only explains the details of the PSL Foundation Language, it also walks through PSL applications within an assertion-based methodology. This is a wonderful book that will help the reader come up to speed on the emerging PSL standard", Harry Foster Accellera PSL Formal Verification Technical Committee chair. For book information/purchase see http://www.vhdlcohen.com/
Writing Testbenches: Functional Verification of HDL Models, Second Edition
Janick Bergeron
ISBN 1-4020-7401-8, Kluwer Academic Publishers
January 2003, 512 pages. Writing Testbenches: Functional Verification of HDL Model is the first book ever devoted entirely to the topic of verification and testbenches. It covers all the issues of a verification process that aims for first-time success. http://janick.bergeron.com/wtb
The System Designer's Guide to VHDL-AMS
Peter J. Ashenden, Gregory D. Peterson, Darrell A. Teegarden
ISBN 1558607498, Morgan Kaufmann Publishers
September 2002, 880 pages. The book addresses Analog, Mixed-Signal, and Mixed-Technology Modeling. See also http://www.mkp.com/vhdl-ams. Educational software coordinated with the book can be found at http://www.mentor.com/systemvision/program.html.
Real Chip Design and Verification Using Verilog and VHDL
Ben Cohen
ISBN 0-9705394-2-8, VhdlCohen Publishing
November 2001, 420 pages. This book addresses the practical and real aspects of logic design, processes, and verification. It incorporates a collection of FPGA and ASIC design practices expressed with Verilog and VHDL. For book information/purchase see http://www.vhdlcohen.com/.
The Designer's Guide to VHDL, 2nd Edition
Peter J. Ashenden
ISBN 1558606912, Morgan Kaufmann Publishers
May 2001, 740 pages
Component Design by Example ... a Step-by-Step Process Using VHDL with UART as Vehicle
Ben Cohen
ISBN 0-9705394-0-1, VhdlCohen Publishing
November 2000, 308 pages. Book uses a full featured UART with FIFO as a design vehicle to demonstrate the front-end design processes including: Requirement Specification, Architectural Plan, Verification Plan, Design and Synthesis, Design Verification, Design Integration, Documentation & Delivery, and Process Guidelines. Book provides helpful guides and templates for all front-end phases of a design, most of which are independent of the HDL implementation or verification languages. CD Includes Advanced Design of Parameterized UART with Subblocks, FIFO Buffering, and Interrupt Controller, Reusable TextIO Parser Package, Advanced Testbench Code with Client/Server Object Oriented Style and Text Command Files, Design of Verifier with Error Detection and Transaction Logging, EMACS Editor with T-shell for Windows NT, 9X, Standard VHDL Packages. For book information/purchase see http://www.vhdlcohen.com
The VHDL Reference: A Practical Guide to Computer-Aided Integrated Circuit Design including VHDL-AMS
Ulrich Heinkel, Martin Padeffke, Werner Haas, Thomas Buerner, Herbert Braisz, Thomas Gentner, Alexander Grassmann
ISBN: 0-471-89972-0, John Wiley & Sons
April 2000, 440 pages, The essential guide for students and professionals working in computer hardware design and synthesis. The authors describe the basic concepts of VHDL and VHDL-AMS and their application in both behavioral and synthesizable modeling of digital systems, with 2 CD-ROMs, http://www.vhdl-online.de
Digital System Design with VHDL
Mark Zwolinski
ISBN 0-201-36063-2, Prentice Hall
2000. 1st Edition, 336 pages
Digital Systems Design With Vhdl and Synthesis : An Integrated Approach
K. C. Chang
ISBN 0769500234, IEEE Computer Society Press
May 1999, Hardcover - 516 pages
VHDL Coding Styles and Methodologies, 2nd Edition
Ben Cohen
ISBN 0-7923-8474-1, Kluwer Academic Publishers
1999, 450 pages. Book emphasizes detailed application of the language, style, methodologies, and synthesis through several complete examples. This edition provides practical information on reusable software methodologies for the design of bus functional models for testbenches. This includes the waveform, client/server, command text and binary file methods. All VHDL code is on CD. CD also includes the GNU toolsuite with EMACS language sensitive editor, TSHELL, 30 day evaluation of ModelSim (Model Technology), and 20 day evaluation of Synplify (Synplicity). For TOC see http://members.aol.com/vhdlcohen/vhdl
Formal Semantics and Proof Techniques for Optimizing VHDL Models
Kothanda Umamageswaran, Sheetanshu L. Pandey, et al.
ISBN 0792383753, Kluwer Academic Publishers
November 1998, 184 pages
A VHDL Primer, Third Edition
J. Bhasker
ISBN 0-13-096575-8, Prentice Hall
September 1998, 375 pages, updated to cover the popular new IEEE STD_LOGIC_1164 standard, http://www.phptr.com
A VHDL Synthesis Primer, Second Edition
J. Bhasker
ISBN 0-9650391-9-6, Star Galaxy Publishing
August 1998, 312 pages, Hardcover, $59.95, Describes the NUMERIC_BIT and NUMERIC_STD packages and uses these packages in the examples, Publisher/Order info: Star Galaxy Publishing, 1058 Treeline Drive, Suite 277, Allentown, PA 18103, Phone/fax: 888-727-7296 (toll free in US), 610-391-7296 [24 hours], http://users.aol.com/SGalaxyPub
VHDL 3rd Edition
Douglas Perry
ISBN 0070494363, MacGraw-Hill
June 1998, 500 pages, 3nd edition
Essential VHDL : RTL Synthesis Done Right
Sundar Rajan
ISBN 0966959000, S & G Publishing
June 1998, 320 pages, US$49.95, Essential VHDL provides a simple, hands-on approach to writing VHDL for RTL synthesis. It follows a systematic, "how-to" style and instructs readers on practical VHDL design. Although targeted primarily at programmable logic designers, the techniques presented in this book make it equally useful for those interested in real-world HDL design.
The Student's Guide to VHDL
Peter J. Ashenden
ISBN 1-55860-520-7, Morgan Kaufmann Publishers
January 1998, 340 pages, $29.95
Digital Systems Design Using VHDL
Charles H. Roth, Jr
ISBN 0-534-98099-X, PWS Publishing Company
1998, 470 pages, http://www.brookscole.com/engineering_d/
VHDL for Logic Synthesis, 2nd Edition
Andrew Rushton
ISBN 0-471-98325-X, John Wiley & Sons
May 1998, 390 pages, Hardback, Web page: http://www.ecs.soton.ac.uk/~ajr1/
VHDL Answers to Frequently Asked Questions, 2nd Edition
Ben Cohen
ISBN 0-7923-8115-7, Kluwer Academic Publishers
1998, Web page: http://members.aol.com/vhdlcohen/vhdl/
HDL Chip Design - A Practical Guide for Designing, Synthesizing and simulating ASICs and FPGAs using VHDL or Verilog
Douglas J. Smith
ISBN 0-9651934-3-8, Doone Publications, extern_mref(asmith@doone.com)
1997, Foreword by Alex Zamfirescu, IEEE Project Chair/IEC TC Convenor. This book won the 1997 small press award for Science and Engineering. Shows equivalent VHDL and Verilog models side-by-side followed by a common synthesized circuit implementation. Large format (8.5x11 inches) - case bound - 470 pages - 240 illustrations - 180 practical modeling examples, http://www.doone.com.
Analysis and Design of Digital Systems with VHDL
A. Dewey
ISBN: 0534954103, PWS Publishing Company
August 1996, 682 pages
Quick-Turnaround ASIC Design in VHDL : Core-Based Behavioral Synthesis
M. S. Ben Romdhane, V. K. Madisetti, J. W. Hines, (Foreword by Prof. J. Allen, MIT)
ISBN 0-7923-9744-4, Kluwer Academic Publishers
June 1996, $95, http://www.ee.gatech.edu/users/215/book3.html
Vhdl for Programmable Logic
Kevin Skahill, Jay Legenhausen, Ron Wade, Corey Wilner, BL Wilson
ISBN 0201895730, Addison-Wesley
May 1996, 593 pages, book & CD-Rom edition includes Cypress WARP software and examples, http://www.amazon.com/exec/obidos/ISBN%3D0201895730/002-9583653-7021215
VHDL Made Easy
David Pellerin and Douglas Taylor
ISBN 0-13-650763-8, Prentice Hall
1996, 420 pages, $54.95. Includes CD-ROM containing a VHDL simulator, VHDL examples and other software. Available direct from Accolade Design Automation (800-470-2686), 26331 NE Valley Street, Suite 5-120, Duvall, WA 98019, FAX 206-788-3768, http://www.acc-eda.com/
VHDL for Designers
Stefan Sjoholm and Lennart Lindh
1996, ISBN 0-13-473414-9, Prentice Hall
Covers everything from basics of VHDL through test benches, synthesis, test methodology even to behavioral synthesis
Introduction to VHDL
D Hunter, T Johnson
Paperback:0-412-73130-4, Chapman & Hall
November 1995, 246x189mm, 496 pages, 8 line illus, UK o24.99
VHDL Modeling for Digital Design Synthesis
Yu-Chin Hsu
ISBN 0-7923-9597-2, Kluwer Academic Publishers
June 1995, 356 pages
Formal Semantics for VHDL
Carlos Delgado Kloos, Peter T. Breuer (eds.)
Kluwer Academic Publishers
April 1995, more info on: http://www.dit.upm.es/~cdk/inv/euroform/sem-vhdl.html
Guide to VHDL Syntax: Based On The New Ieee Std 1076-1993 (Innovative Technology)
J. Bhasker
ISBN 0-13-324351-6, Prentice Hall
January 1995, $45, Number of pages: 268
A Designer's Guide to VHDL Synthesis
Douglas E. Ott, Thomas J. Wilderotter
ISBN 0-7923-9472-0, Kluwer Academic Publishers
December 1994
Circuit Synthesis with VHDL
R Airiau, JM Berge, V Olive
ISBN 0-7923-9429-1, Kluwer Academic Publishers
1994
Digital Design & Synthesis with VHDL
Ross
ISBN 0-9627488-3-8, Automata Publishing Company
1994, 300p, Cloth Text
Structured Logic Design With VHDL
J.R. Armstrong and F. Gail Gray
ISBN 0-13-855206-1, Prentice Hall, Englewood Cliffs, N.J., USA
May of 1993
A Guide to VHDL, Second Edition
Stanley Mazor, Patricia Langstraat
ISBN 0792393872, Kluwer Academic Publishers
August 1993
VHDL Techniques, Experiments, and Caveats
J. Pick
ISBN 0-07-049906-3, McGraw-Hill
June 1993, $55
VHDL '92; The New Features of the VHDL Hardware Description Language
Berge, Fonkoua, Maginot and Rouillard
ISBN:0-7923-9356-2, Kluwer Academic Publishers
May 1993, Price: $87.50 Dfl180
Digital System Design using VHDL
Chin-Hwa Lee, CorralTek
P.O. 2616, Salinas, CA 93902 (408) 484-1726
April 1993, Price $29 (answer book $10)
VHDL Buyer's Guide
Steve Wolfe and Fouad Kiamilev
ISBN 0-934869-14-6, Cad Cam Publishing, Incorporated September 1992, Trade Paper, 30p
VHDL: Analysis and Modeling of Digital Systems
Zainalabedin Navabi
ISBN 0-07-046472-3, Mc Graw Hill
September 1992, US$ 38.50
VHDL Designer's Reference
Jean Michel Berge, Alain Fonkua, Serge Maginot, Jacques Roulliard
ISBN 0-7923-1756-4, Kluwer Academic Publishers
July 1992
VHDL for Simulation, Synthesis and Formal Proofs of Hardware
Jean Mermet
ISBN 0-7923-9253-1, Kluwer academic publishers June 1992
Hardware Design and Simulation in VAL/VHDL
Larry M. Augustin, David C. Luckham, Benoit A. Gennart, Yo Huh and Alec G. Stanculescu
ISBN 0-7923-9087-3, Kluwer Academic Publishers
1991, 352 pages, $69.95
The VHDL Handbook
David Coelho (Vantage Analysis Systems)
ISBN 0-7923-90310-8, Kluwer Academic Publishers
1989, examples and std package on (PC) disc for $100 handling cost from: Coelho Publications, 43000 Christy Street, Fremont, CA 94538 voice: (415) 770-0875, fax: (415) 770-0728, email: ...!uunet!coelho!drc
VHDL : Hardware Description and Design
Lipsett, Schaeffer, Ussery
ISBN 0-7923-9030-x, Kluwer Academic Publishers
1989, 320 pages, $59.95
ASIC System Design with VHDL: A Paradigm
S. Leung, M.A. Shanblatt
ISBN 0-7923-9032-6, Kluwer Academic Publishers
1989, 240 pages, $56.50
The VHDL Cookbook
Peter J. Ashenden, University of Adelaide, South Australia
per ftp from ftp://ftp.cs.adelaide.edu.au/pub/VHDL-Cookbook/, http://tech-www.informatik.uni-hamburg.de/vhdl/vhdl.html or http://www3.cti.ac.at/ecsi/EARNEST/digests/VHDL_cookbook/default.htm
VHDL: Features and Applications, A self-study course
J. Bhasker
IEEE
Contains: Self study course + final exam + A VHDL Primer(Revised Edition) text + IEEE Std 1076-1993 + IEEE Std 1164-1993 (all in one package), $229 IEEE members, $299 non-members, IEEE Product number: HL5712, To order: Call IEEE at (800) 678-IEEE

2.1 Books in French

VHDL, du langage au circuit , du circuit au langage
J. Weber and M.Meaudre
ISBN 2-225-82957-8, edition Masson
1997, http://www.masson.fr/
Initiation au langage VHDL
Michel Amiaux
ISBN 2-225-85232-4, edition Masson
1996, http://www.masson.fr/
Circuits numériques et synthèse logique, un outil : VHDL
Jacques Weber, Maurice Meaudre
ISBN 2-225-84956-0, Collection : Technologie de l'Université à l'Industrie
1995, 208 pages
VHDL du langage a la modelisation
R. Airiau, J.M. Berge, V. Olive and J. Rouillard
Presses Polytechniques et Universitaires Romandes
Lausanne 1990

2.2 Books in German

VHDL-Synthese, Entwurf digitaler Schaltungen und Systeme
J.Reichardt, B.Schwarz
ISBN 3-486-25128-7, Oldenbourg-Verlag http://www.oldenbourg.de/verlag/index_suche.htm
2000, 288 Seiten, http://users.etech.fh-hamburg.de/users/reichardt/buch.html
Entwicklung digitaler Systeme mit VHDL - Einsatz und Anwendung von VHDL zur Simulation und zur Synthese von digitalen Systemen
Jürgen Bäsig
ISBN 3-00-005081-7, Eigenverlag
2000, http://wwwsoft.nf.fh-nuernberg.de/labs/me/me/vhdl.html#mevk_05
Abstrakte Modellierung digitaler Schaltungen (VHDL vom funktionalen Modell bis zur Gatterebene)
K. ten Hagen
ISBN 3-540-59143-5, Springer
August 1995
Schaltungsdesign mit VHDL
Gunther Lehmann, Bernhard Wunder, Manfred Selz
ISBN 3-7723-6163-3, Franzis-Verlag
1994, 317 Seiten, mit Diskette, Poing, DM 89,-.
Das Buch ist zur Zeit vergriffen, aber eine pdf-Version kann unter http://www-itiv.etec.uni-karlsruhe.de/FORSCHUNG/VEROEFFENTLICHUNGEN/lws94/lws94.html kostenlos heruntergeladen werden
VLSI-Entwurf (Vorgehen, Methoden, Automatisierung)
Thomas Kropf
ISBN 3-8266-0163-7, International Thomson Publishing
TAT (Thomson Aktuelle Tutorien) Nr.17, (trotz des Titels ist das Buch zu ca. 80% ein VHDL-Buch)
Die VHDL-Syntax (Deutsche Uebersetzung von "A Guide to VHDL Syntax")
J. Bhasker
ISBN: 3-8272-9528-9, Prentice Hall Verlag GmbH

2.3 Books in Japanese

Translation of: A VHDL Primer
Jayaram Bhasker
ISBN4-7898-3286-4 C3055 P3200E, CQ Publishing

2.4 Books in Dutch

VHDL, VHDL'87/'93 en voorbeelden
Egbert Molenkamp
ISBN 90-802634-3-5
1997, 250 pages, Dfl 70,- (excl. VAT and Handling), Order via: Transfer EDS, Goorseweg 5, 7475 BB Markelo, the Netherlands, fax. x-31-547-367366. The book is out of print but can be downloaded for free from http://wwwhome.cs.utwente.nl/~molenkam/DownloadVhdlBoek.htm.

2.5 Books in Swedish

VHDL för konstruktion
Lennart Lindh, Stefan Sjöholm
ISBN 91-44-47782-1
1996, 524 pages, Studentlitteratur

2.6 Books in Italian Language

Testo sulle Logiche Programmabili
Catello Antonio De Rosa
Actually, the focus of the book is Programmable Logic. However, it contains a chapter on VHDL for Programmable Logic. See also http://digilander.libero.it/fpga/appoggio/libro.html.

2.7 Books in Spanish

Diseno de Sistemas Digitales con VHDL
Serafin A. Perez, Enrique Soto, Santiago Fernandez
ISBN 84-9732-081-6
2002. http://www.dte.uvigo.es/vhdl/home.html

2.8 Recommended Books in comp.lang.vhdl

I compiled two lists from articles which were posted to comp.lang.vhdl from 1996/1/1 to 2003/8/15. Only books which were recommended at least by 4 different posters (excluding the author) appear on the lists. I've done my best to get exact numbers but probably catched not all postings which included any recommendations. Hence, if you think that a book is missing or got a wrong number of recommendations (see below) please send me a note.

How to get your favourite book onto the list(s)? If you are the author: there is no way (besides writing an excellent book). Otherwise, if you think it's an excellent book please share the information with the community: just post a recommendation to the newsgroup. If their number exceeds the threshold the book will be added to the appropriate list. To initialise this process I plan to post a 'request for recommendations' every 12 month. The next request is scheduled for November 2003.

Please note that the books may focus on different topics of VHDL and not all books are recommended for beginners. Hence, try to get some more informations on a book before ordering. Usually, the various on-line book stores provide some additional infos (see i.e. http://www.amazon.com/, http://www.bookpool.com/,...). Of course you may also check out Section 2. Further, please post/send remarks about the books on the list (for beginners, not for beginners,...)

The lists are sorted by decreasing number of recommendations (the actual number for each book is enclosed in '()').

General books on VHDL:

Books on VHDL for synthesis:

2.9 Some Publisher Addresses


3 Free Documents

Quick reference cards for VHDL and STD_LOGIC_1164-based packages are available from the Qualis web site at http://www.qualis.com/cgi-bin/qualis/libObject.pl?object=tr010. See also the Amontec VHDL Memo which is available online from http://www.amontec.com/fix/vhdl_memo/index.html. A PSL VHDL Quick reference card is available from http://members.aol.com/vhdlcohen/vhdl/vhdlcode/PSL_quickrefvhdl.pdf.

3.1. Reports

Only stuff available by ftp, http or similar will be mentioned here, if of general interest.

On ftp://ftp.estec.esa.nl/pub/vhdl/doc (or via http://www.estec.esa.nl/wsmwww/vhdl/vhdlpage.html) the following documents can be found (look in their README for the most actual info):

BoardLevel.ps
"VHDL Models for Board-level Simulation", ref. WSM/SH/010 This document provides recommendations for development and usage of VHDL models intended for Board-level simulation. The purpose of these recommendations is to define modeling criteria that will produce models that are highly accurate in both functionality and timing, and that will provide sufficient simulation performance to facilitate long simulation runs. Format: postscript.
CompList.ps
"Support Component List", ref. WDN/PS/822 Issue 2 This document contains summary information for European components for space applications. Their main characteristics are listed, including radiation tolerance. Coordinates to contact persons for further information are also included. Format: postscript.
ModelGuide.ps
"VHDL Modeling Guidelines", ref. ASIC/001 Issue 1 The ESA VHDL Modeling Guidelines have been established to ensure a good coding standard for VHDL, w.r.t. to readability, portability and extensive verification. There are separate sections dealing with specific requirements for models for component simulation, board-level simulation, system-level simulation and test benches. The document is being used for education at several universities, as a base for company specific guidelines etc. Format: postscript.
UseOfVHDL.ps
"The Usage of VHDL in the European Space Agency" An overview of the current and envisaged (April 1995) usage of VHDL within ESA. Describes the background, scope and purpose of the ESA VHDL Modeling Guidelines, and introduces the upcoming document "VHDL Models for Board-level Simulation". Outlines a planned scheme for making VHDL models for Board-level simulation available including schemes for protecting the design information. The paper was presented at the Workshop on Libraries, Component Modeling and Quality Assurance in Nantes (F), April 1995. Format: postscript.
VHDLReport.ps
"The VHDL Standard" (by E2S n.v.) An overview of the May 1994 status of the VHDL standard and associated activities within the IEEE, EIA, and ESPRIT projects. An extensive summary of VHDL repositories is included, together with a list of European VHDL tools. Format: postscript.

At ftp://ftp.edif.org/pub/vhdl/models the following documents are available:

VHDL93-model.ps
Internal Report, 30-03-95, ``An Information Model of VHDL'93 (Draft Version 02)'' University of Manchester, Department of Computer Scienc. Format: postscript.
VHDL87-model.ps
Internal Report, 10-11-94, ``An Information Model of VHDL'87 (Draft Version 10)'' University of Manchester, Department of Computer Science. Format: postscript.

Content of the README file: "The present documents are the result of work supported by the UK Defence Research Agency and ESIP (ESPRIT Project 8370). The goal has been to produce comprehensive information models of VHDL'87 and VHDL '93. The models defined here describe the essential objects and the semantics of VHDL'87 and VHDL'93 at the description, analysis, elaboration and simulation levels of design. They are not implementation information models for a VHDL simulator nor for a VHDL checker; they can, however, provide the basis for the creation of such implementation oriented information models.

The VHDL'87 model is accompanied by a the presentation from file VHDL87-model-presentation.ps.

This document supersedes the earlier reports ECIP2/UM/015-1 01-05-93 and UM Internal Report 10-10-94."

The Actel HDL Coding Style Guide provides instructions for optimizing HDL code for the Actel architecture using examples in both VHDL and Verilog. While this document primarily targets coding for the Actel architecture it also contains a section about technology independent coding styles. The style guide is available from http://www.actel.com/docs/R2-2001/hdlcode.pdf.

The Synthesis and Simulation Design Guide from Xilinx provides a general overview of designing FPGAs with HDLs. It includes design hints for the novice HDL user, as well as for the experienced user who is designing FPGAs for the first time. Written for the Xilinx M1 development tools. The manual is available from http://www.xilinx.com/apps/fpga.htm.

VHDL and Verilog fundamentals--expressions, operands, and operators by Douglas J Smith deals with differences between VHDL and Verilog expressions. Knowing the operand differences between the two HDLs helps writing more efficient chip-design code and is also useful when converting between VHDL and Verilog. The article is available from http://archives.e-insite.net/archives/ednmag/reg/1997/041097/08df_08.htm.

An Introduction to HDLs for Simulation and Synthesis (by David Pellerin) shows through simple examples how HDLs can be used to describe circuits for simulation and synthesis. The presentation is not intended as a comprehensive HDL tutorial, but does provide information to get started using HDLs, and helps you to understand how to get the most out if simulation and synthesis tools. The document is available from http://www.acc-eda.com/support/vhdpaper.pdf.

3.2 Free Books

The VHDL Cookbook
Peter J. Ashenden
An introduction to VHDL. Available per ftp from ftp://ftp.cs.adelaide.edu.au/pub/VHDL-Cookbook/, http://tech-www.informatik.uni-hamburg.de/vhdl/vhdl.html or http://www3.cti.ac.at/ecsi/EARNEST/digests/VHDL_cookbook/default.htm. see also booklist entry
VHDL Kurzanleitung
Andreas Mäder
A German introduction to VHDL. Available from http://tech-www.informatik.uni-hamburg.de/vhdl/vhdl.html.
VHDL-Kurzanleitung
Richard Geissler
Another introduction to VHDL in German language. The document is available from http://mikro.e-technik.uni-ulm.de/vhdl/anl-deut.vhd/html/vhdl-all.html.
Schaltungsdesign mit VHDL
Gunther Lehmann, Bernhard Wunder, Manfred Selz
ISBN 3-7723-6163-3, Franzis-Verlag
A German introduction to circuit design with VHDL, a pdf version is available from http://www-itiv.etec.uni-karlsruhe.de/opencms/opencms/de/study/lectures/vhdl_download.html
Schaltungs-Synthese mit VHDL, eine Einführung
Karl Friedrich Penning
An introduction to VHDL for synthesis. The document is available from http://wwwlrh.fh-bielefeld.de/vhdl_vor/VHDL_V_A.htm.
VHDL-Manual
Richard Geissler and Slavek Bulach
Another introduction to VHDL. Available from http://mikro.e-technik.uni-ulm.de/vhdl/anl-engl.vhd/vhdl-all-e.ps.gz.
VHDL, VHDL'87/'93 en voorbeelden
Egbert Molenkamp
ISBN 90-802634-3-5
1997, 250 pages, Dutch language, The book can be downloaded for free from http://wwwhome.cs.utwente.nl/~molenkam/DownloadVhdlBoek.htm.

Part 3: Products & Services for VHDL includes a Public Domain and a Commercial Section
Authors:
Tom Dettmer, Edwin Naroska